CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 32 bit vhdl

搜索资源列表

  1. CRC-8

    1下载:
  2. VHDL code for CRC-8 computing using 32 bit input (parallel)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:731
    • 提供者:stefanovic
  1. fadder8

    0下载:
  2. 基于VHDL语言,编写一个32位全加器文件,可直接编译-Based on VHDL language, write a 32-bit full adder files can be directly compile
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:498053
    • 提供者:zhang
  1. Ms32pci

    0下载:
  2. PCI-ip硬件描述语言-开源的,可以做参考设计,如果需要的话,-This models are written in VHDL! Author is Ovidiu Lupas! MASTER model generates PCI compliant signals checks Target signal compliance with PCI checks data received from Target for correctness generates
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:6231
    • 提供者:kity
  1. BARREL_SHIFTER

    0下载:
  2. IMPLEMENTATION OF 32 BIT BARREL SHIFTER IN VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1992
    • 提供者:Vinod R M
  1. CPU-with-VHDL-16-32

    0下载:
  2. 在quartus中运行的32位指令集的16位CPU程序,模块化设计,包括MBR, BR, MR, ACC, MAR, PC, IR, CU, ROM, RAM, ALU等模块-In the the quartus run 32 16-bit CPU instruction set procedures, modular design, including the MBR, BR, MR, the ACC, the MAR, the PC, the IR CU, the ROM, RAM, ALU
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1651752
    • 提供者:
  1. grlib-netlists-1.1.0.tar

    0下载:
  2. leon for 3 fpu. The LEON3 is a synthesisable VHDL model of a 32-bit processor compliant with the SPARC V8 architecture. The model is highly configurable, and particularly suitable for system-on-a-chip (SOC) designs.
  3. 所属分类:Other systems

    • 发布日期:2017-06-12
    • 文件大小:19076537
    • 提供者:serg
  1. parity_chk_32

    0下载:
  2. 这是一个32位的奇偶校验程序,VHDL代码,可用于FPGA.-32 bit parity check
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-26
    • 文件大小:952
    • 提供者:周君
  1. parity_chk_32-

    0下载:
  2. 这是一个用在FPGA上的, VHDL源码, 32位奇偶校验程序.-32 bit parity check
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-23
    • 文件大小:675
    • 提供者:周君
  1. ARM32registergroup

    0下载:
  2. VHDL ARM 32位寄存器组的设计,基于Quartus II平台-VHDL ARM 32-bit register set design, based on the platform of Quartus II
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-12
    • 文件大小:3069200
    • 提供者:逆天之刃
  1. Taddd_32_bbcdh

    0下载:
  2. 此程序源码使用VHDL语言,完成在32位十六进制加法器的基础上将输出出进行BCD码转换,实现输出是BCD码的32位二进制加法 可直接使用。 -This program source code using VHDL language, completed on the basis of 32-bit hexadecimal adder output BCD code conversion, the output is a 32-bit binary adder BCD code can be
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-06
    • 文件大小:1036
    • 提供者:分配
  1. clock

    0下载:
  2. 用vhdl写的数字电子时钟,能够定闹钟,定点报时,调时,用Quartus II 7.2 (32-Bit)写的,压缩文件,里面有源程序,仿真文件等(就是所建的工程)-Digital electronic clock vhdl write, to set the alarm clock, designated chime tune, written using Quartus II 7.2 (32-Bit), compressed files, source code and simulation
  3. 所属分类:Other systems

    • 发布日期:2017-11-25
    • 文件大小:280060
    • 提供者:闭梁祖
  1. ads1282_code

    0下载:
  2. 用VHDL写的控制TI公司32位高精度ADC的程序,可以可靠运行,已经应用于实际项目-Control TI' s 32-bit precision ADC program written using VHDL, reliable operation, has been applied to the actual project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:479666
    • 提供者:陈耀弘
  1. 32counter

    0下载:
  2. 用VHDL语言设计一个32位二进制计数器并进行功能仿真 2.用VHDL语言设计一个8位数码扫描显示电路 -A 32-bit binary counter design using VHDL language and functional simulation using VHDL language design an 8-bit digital scanning display circuit
  3. 所属分类:Other systems

    • 发布日期:2017-11-03
    • 文件大小:41089
    • 提供者:陈舒
  1. 2dpsk4

    0下载:
  2. vhdl实现2dpsk,软件是Quartus II 9.0 (32-Bit)-2dpsk VHDL
  3. 所属分类:SCM

    • 发布日期:2017-11-20
    • 文件大小:333823
    • 提供者:fate
  1. encrypt_8_tea_complete

    0下载:
  2. This complete project for 8-bit TEA algorithm. Actually, at least 32-bit for TEA and you can change number of bits. This folder consists of five vhdl files. one top level entity named encrypt_8 and the remaining four are low level entities.-This is c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4162
    • 提供者:Mar Mar
  1. my_32fp_mult

    0下载:
  2. 这是一个计算32位浮点数的除法器,ALTERA的FPGA可直接用,用VHDL语言写的,希望能帮助有需要的朋友-This is a 32-bit floating-point calculation of divider, ALTERA FPGA can be directly used, written in VHDL language, hoping to help a friend in need
  3. 所属分类:MPI

    • 发布日期:2017-05-15
    • 文件大小:3595214
    • 提供者:jane
  1. filter_VHDL

    0下载:
  2. FIR filter design using VHDL for 32 bit signed coefficientand 32 bit input and decimation is 4 and its working good
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:50629
    • 提供者:shyamu
  1. ALU32

    0下载:
  2. 32 bit ALU RTL Code using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-01
    • 文件大小:377428
    • 提供者:prasanth
  1. generateur_rossel

    0下载:
  2. this is vhdl program of rosseler chaotic generator 32 bit fixed point.
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:210732
    • 提供者:minon adam
  1. adder32bit

    0下载:
  2. vhdl code for 32 bit binary addition
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:565
    • 提供者:annie
« 1 2 3 4 56 »
搜珍网 www.dssz.com